实现的方法还是比较简单的,对源时钟信号50MHZ进行计数即可,达到一定时间后输出翻转信号。

将50MHZ的时钟信号分频为1000HZ

50MHZ的时钟信号分频为1000HZ,50MHZ÷1000HZ=50000,即50000倍的关系,那么可将50MHZ的时钟信号进行计数,设置初始值CLK1=0,当计数达50000/2即25000次时,CLK1=~CLK1,即翻转,如此反复即可实现CLK1的输出信号为1000HZ。

如何将50MHZ时钟信号分频为1000HZ和1H

输出1000HZ时钟模块

如何将50MHZ时钟信号分频为1000HZ和1H

输出1000HZ时钟Verilog程序

将50MHZ的时钟信号分频为1HZ

将50MHZ的时钟信号分频为1HZ,其实现原理差不多,50MHZ÷1HZ=50000000,那么可将50MHZ的时钟信号进行计数,设置初始值CLK2=0,当计数达50000000/2即25000000次时,CLK2=~CLK2,即翻转,如此反复即可实现CLK2的输出信号为1HZ。 

如何将50MHZ时钟信号分频为1000HZ和1H

输出1HZ时钟模块

如何将50MHZ时钟信号分频为1000HZ和1H

输出1HZ时钟Verilog程序

  • UC3846控制芯片工作原理控制图 逆变焊机原理与用途
  • 数字万用表电阻档测试二极管正反向没有阻值(使用万用表测量二极管的正向电阻,为什么各档)
  • 学单片机需要学数电模电吗(学单片机要先学数电模电吗)
  • 电工怎么选择适合自己用的万用表(电工初学者买什么样的万用表好)
  • 单片机需要同时运行多个任务怎么办(单片机怎么同时执行多个任务)
  • 电机保护的方案取决于负载的机械特性
  • 绝缘电阻表正负搭接不复零位是怎么回事
  • 短路怎么用万用表查